DOSA: One-Loop DSE for DNN Accelerators Using Differentiable ModelsDownload PDF

Published: 16 May 2023, Last Modified: 15 Jun 2023ASSYST OralReaders: Everyone
Keywords: Design space exploration, accelerators, analytical modeling, ML for architecture
TL;DR: DOSA co-explores the mapspace and the hardware design space via a fast one-loop search over both spaces, enabled by gradient descent on a set of differentiable models.
Abstract: The process of hardware design space exploration requires both hardware parameters and mappings from the algorithm onto the target hardware to be discovered and optimized. Previous work has largely approached this simultaneous optimization problem by separately exploring the hardware design space and the mapspace - both individually large and highly nonconvex spaces - independently. The resulting combinatorial explosion has created significant difficulties for optimizers. We introduce DOSA, which consists of differentiable latency and energy models, as well as a gradient descent-based optimization technique to simultaneously explore both spaces and identify high-performing design points. Experimental results demonstrate that DOSA outperforms random search and Bayesian optimization by 2.80x and 12.59x, respectively, in improving DNN model energy-delay product, given a similar number of samples. In particular, we demonstrate DOSA's modularity and flexibility via transfer to a real DNN accelerator setting, where we achieve a 2.07x improvement in energy-delay product by augmenting our analytical model with a learned model.
Workshop Track: MLArchSys
Presentation: In-Person
Presenter Full Name: Charles Hong
Presenter Email: charleshong@berkeley.edu
Presenter Bio: Charles Hong is a PhD student at UC Berkeley, advised by Sophia Shao. He received his B.S. in 2021 and was an Apple M.S. Scholar from 2021 to 2022, also at UC Berkeley. His research interests center around automation in computer architecture.
4 Replies

Loading